電子產(chǎn)業(yè)一站式賦能平臺

PCB聯(lián)盟網(wǎng)

搜索

嵌入式系統(tǒng)基礎(chǔ)知識總結(jié)

已有 451 次閱讀2021-6-9 15:21 | 嵌入式

1、嵌入式系統(tǒng)的定義

1.1  定義:以應(yīng)用為中心,以計算機技術(shù)為基礎(chǔ),軟硬件可裁剪,適應(yīng)應(yīng)用系統(tǒng)對功能、可靠性、成本、體積、功耗嚴格要求的專用計算機系統(tǒng)。

 

1.2  嵌入式系統(tǒng)發(fā)展的4個階段:無操作系統(tǒng)階段、簡單操作系統(tǒng)階段、實時操作系統(tǒng)階段、面向Internet階段。

 

1.3  知識產(chǎn)權(quán)核(IP核):具有知識產(chǎn)權(quán)的、功能具體、接口規(guī)范、可在多個集成電路設(shè)計中重復(fù)使用的功能模塊,是實現(xiàn)系統(tǒng)芯片(SOC)的基本構(gòu)件。

 

1.4 IP核模塊有行為、結(jié)構(gòu)和物理3級不同程度的設(shè)計,對應(yīng)描述功能行為的不同可以分為三類:軟核、固核、硬核。

 

 

2、嵌入式系統(tǒng)的組成

包含:硬件層、中間層、系統(tǒng)軟件層和應(yīng)用軟件層

 

2.1 硬件層:嵌入式微處理器、存儲器、通用設(shè)備接口和I/O接口

嵌入式核心模塊=微處理器+電源電路+時鐘電路+存儲器

 

 

Cache:位于主存和嵌入式微處理器內(nèi)核之間,存放的是最近一段時間微處理器使用最多的程序代碼和數(shù)據(jù)。它的主要目標是減小存儲器給微處理器內(nèi)核造成的存儲器訪問瓶頸,使處理速度更快。

 

 

 

2.2 中間層(也稱為硬件抽象層HAL或者板級支持包BSP

它將系統(tǒng)上層軟件和底層硬件分離開來,使系統(tǒng)上層軟件開發(fā)人員無需關(guān)系底層硬件的具體情況,根據(jù)BSP層提供的接口開發(fā)即可。

 

 

BSP有兩個特點:硬件相關(guān)性和操作系統(tǒng)相關(guān)性。

 

 

設(shè)計一個完整的BSP需要完成兩部分工作:

 

 

A、 嵌入式系統(tǒng)的硬件初始化和BSP功能。

 

片級初始化:純硬件的初始化過程,把嵌入式微處理器從上電的默認狀態(tài)逐步設(shè)置成系統(tǒng)所要求的工作狀態(tài)。

 

 

 

板級初始化:包含軟硬件兩部分在內(nèi)的初始化過程,為隨后的系統(tǒng)初始化和應(yīng)用程序建立硬件和軟件的運行環(huán)境。

 

 

 

系統(tǒng)級初始化:以軟件為主的初始化過程,進行操作系統(tǒng)的初始化。

 

 

B、 設(shè)計硬件相關(guān)的設(shè)備驅(qū)動。

 

 

2.3  系統(tǒng)軟件層:由RTOS、文件系統(tǒng)、GUI、網(wǎng)絡(luò)系統(tǒng)及通用組件模塊組成。

RTOS是嵌入式應(yīng)用軟件的基礎(chǔ)和開發(fā)平臺。

 

 

2.4  應(yīng)用軟件:由基于實時系統(tǒng)開發(fā)的應(yīng)用程序組成。

 

 

3、實時系統(tǒng)

3.1  定義:能在指定或確定的時間內(nèi)完成系統(tǒng)功能和對外部或內(nèi)部、同步或異步時間做出響應(yīng)的系統(tǒng)。

 

3.2  區(qū)別:通用系統(tǒng)一般追求的是系統(tǒng)的平均響應(yīng)時間和用戶的使用方便;而實時系統(tǒng)主要考慮的是在最壞情況下的系統(tǒng)行為。

 

3.3  特點:時間約束性、可預(yù)測性、可靠性、與外部環(huán)境的交互性。

 

3.4  硬實時(強實時):指應(yīng)用的時間需求應(yīng)能夠得到完全滿足,否則就造成重大安全事故,甚至造成重大的生命財產(chǎn)損失和生態(tài)破壞,如:航天、軍事。

 

3.5  軟實時(弱實時):指某些應(yīng)用雖然提出了時間的要求,但實時任務(wù)偶爾違反這種需求對系統(tǒng)運行及環(huán)境不會造成嚴重影響,如:監(jiān)控系統(tǒng)、實時信息采集系統(tǒng)。

 

3.6  任務(wù)的約束包括:時間約束、資源約束、執(zhí)行順序約束和性能約束。

 

4、實時系統(tǒng)的調(diào)度

4.1  調(diào)度:給定一組實時任務(wù)和系統(tǒng)資源,確定每個任務(wù)何時何地執(zhí)行的整個過程。

 

4.2  搶占式調(diào)度:通常是優(yōu)先級驅(qū)動的調(diào)度,如uCOS。優(yōu)點是實時性好、反應(yīng)快,調(diào)度算法相對簡單,可以保證高優(yōu)先級任務(wù)的時間約束;缺點是上下文切換多。

 

4.3  非搶占式調(diào)度:通常是按時間片分配的調(diào)度,不允許任務(wù)在執(zhí)行期間被中斷,任務(wù)一旦占用處理器就必須執(zhí)行完畢或自愿放棄,如WinCE。

優(yōu)點是上下文切換少;缺點是處理器有效資源利用率低,可調(diào)度性不好。

 

4.4  靜態(tài)表驅(qū)動策略:系統(tǒng)在運行前根據(jù)各任務(wù)的時間約束及關(guān)聯(lián)關(guān)系,采用某種搜索策略生成一張運行時刻表,指明各任務(wù)的起始運行時刻及運行時間。

 

4.5  優(yōu)先級驅(qū)動策略:按照任務(wù)優(yōu)先級的高低確定任務(wù)的執(zhí)行順序。

 

4.6  實時任務(wù)分類:周期任務(wù)、偶發(fā)任務(wù)、非周期任務(wù)。

 

4.7  實時系統(tǒng)的通用結(jié)構(gòu)模型:數(shù)據(jù)采集任務(wù)實現(xiàn)傳感器數(shù)據(jù)的采集,數(shù)據(jù)處理任務(wù)處理采集的數(shù)據(jù)、并將加工后的數(shù)據(jù)送到執(zhí)行機構(gòu)管理任務(wù)控制機構(gòu)執(zhí)行。

 

 

5、嵌入式微處理器體系結(jié)構(gòu)

5.1  馮諾依曼結(jié)構(gòu)

程序和數(shù)據(jù)共用一個存儲空間,程序指令存儲地址和數(shù)據(jù)存儲地址指向同一個存儲器的不同物理位置,采用單一的地址及數(shù)據(jù)總線,程序和數(shù)據(jù)的寬度相同。例如:8086、ARM7MIPS

 

 

5.2  哈佛結(jié)構(gòu)

程序和數(shù)據(jù)是兩個相互獨立的存儲器,每個存儲器獨立編址、獨立訪問,是一種將程序存儲和數(shù)據(jù)存儲分開的存儲器結(jié)構(gòu)。例如:AVR、ARM9ARM10

 

 

5.3  CISCRISC的特點比較

計算機執(zhí)行程序所需要的時間P可以用下面公式計算:

 

 

P=I×CPI×T

 

 

I:高級語言程序編譯后在機器上運行的指令數(shù)。

 

 

CPI:為執(zhí)行每條指令所需要的平均周期數(shù)。

 

 

 

T:每個機器周期的時間。

 

 

5.4  流水線的思想

CPU中把一條指令的串行執(zhí)行過程變?yōu)槿舾芍噶畹淖舆^程在CPU中重疊執(zhí)行。

 

 

5.5  流水線的指標

吞吐率:單位時間里流水線處理機流出的結(jié)果數(shù)。如果流水線的子過程所用時間不一樣長,則吞吐率應(yīng)為最長子過程的倒數(shù)。

 

 

建立時間:流水線開始工作到達最大吞吐率的時間。若m個子過程所用時間一樣,均為t,則建立時間Tmt。

 

5.6  信息存儲的字節(jié)順序

A、存儲器單位:字節(jié)(8位)

 

B、字長決定了微處理器的尋址能力,即虛擬地址空間的大小。

 

C32位微處理器的虛擬地址空間位232,即4GB

 

D、小端字節(jié)順序:低字節(jié)在內(nèi)存低地址處,高字節(jié)在內(nèi)存高地址處。

 

E、大端字節(jié)順序:高字節(jié)在內(nèi)存低地址處,低字節(jié)在內(nèi)存高地址處。

 

F、網(wǎng)絡(luò)設(shè)備的存儲順序問題取決于OSI模型底層中的數(shù)據(jù)鏈路層。

 

 

6、邏輯電路基礎(chǔ)

6.1  根據(jù)電路是否具有存儲功能,將邏輯電路劃分為:組合邏輯電路和時序邏輯電路。

 

6.2  組合邏輯電路

電路在任一時刻的輸出,僅取決于該時刻的輸入信號,而與輸入信號作用前電路的狀態(tài)無關(guān)。常用的邏輯電路有譯碼器和多路選擇器等。

 

 

6.3  時序邏輯電路

電路任一時刻的輸出不僅與該時刻的輸入有關(guān),而且還與該時刻電路的狀態(tài)有關(guān)。因此,時序電路中必須包含記憶元件。觸發(fā)器是構(gòu)成時序邏輯電路的基礎(chǔ)。常用的時序邏輯電路有寄存器和計數(shù)器等。

 

 

6.4  真值表、布爾代數(shù)、摩根定律、門電路的概念。

 

6.5  NOR(或非)和NAND(與非)的門電路稱為全能門電路,可以實現(xiàn)任何一種邏輯函數(shù)。

 

6.6  譯碼器:多輸入多輸出的組合邏輯網(wǎng)絡(luò)。

每輸入一個n位的二進制代碼,在m個輸出端中最多有一個有效。

 

m2n時,為全譯碼;當m<2n時,為部分譯碼。

 

 

6.7  由于集成電路的高電平輸出電流小,而低電平輸出電流相對比較大,采用集成門電路直接驅(qū)動LED時,較多采用低電平驅(qū)動方式。液晶七段字符顯示器LCD利用液晶有外加電場和無外加電場時不同的光學特性來顯示字符。

 

6.8  時鐘信號是時序邏輯的基礎(chǔ),它用于決定邏輯單元中的狀態(tài)合適更新。同步是時鐘控制系統(tǒng)中的主要制約條件。

 

6.9  在選用觸發(fā)器的時候,觸發(fā)方式是必須考慮的因素。觸發(fā)方式有兩種:

電平觸發(fā)方式:具有結(jié)構(gòu)簡單的有點,常用來組成暫存器。

 

邊沿觸發(fā)方式:具有很強的抗數(shù)據(jù)端干擾能力,常用來組成寄存器、計數(shù)器等。

 

 

 

7、總線電路及信號驅(qū)動

7.1  總線是各種信號線的集合,是嵌入式系統(tǒng)中各部件之間傳送數(shù)據(jù)、地址和控制信息的公共通路。

在同一時刻,每條通路線路上能夠傳輸一位二進制信號。

按照總線所傳送的信息類型,可以分為:數(shù)據(jù)總線(DB)、地址總線(AB)和控制總線(CB)。

 

7.2  總線的主要參數(shù):

總線帶寬:一定時間內(nèi)總線上可以傳送的數(shù)據(jù)量,一般用MByte/s表示。

總線寬度:總線能同時傳送的數(shù)據(jù)位數(shù)(bit),即人們常說的32位、64位等總線寬度的概念,也叫總線位寬。總線的位寬越寬,總線每秒數(shù)據(jù)傳輸率越大,也就是總線帶寬越寬。

總線頻率:工作時鐘頻率以MHz為單位,工作頻率越高,則總線工作速度越快,也即總線帶寬越寬。

總線帶寬 總線位寬×總線頻率/8, 單位是MBps

常用總線:ISA總線、PCI總線、IIC總線、SPI總線、PC104總線和CAN總線等。

 

7.3  只有具有三態(tài)輸出的設(shè)備才能夠連接到數(shù)據(jù)總線上,常用的三態(tài)門為輸出緩沖器。

 

7.4  當總線上所接的負載超過總線的負載能力時,必須在總線和負載之間加接緩沖器或驅(qū)動器,最常用的是三態(tài)緩沖器,其作用是驅(qū)動和隔離。

 

7.5  采用總線復(fù)用技術(shù)可以實現(xiàn)數(shù)據(jù)總線和地址總線的共用。但會帶來兩個問題:

A、需要增加外部電路對總線信號進行復(fù)用解耦,例如:地址鎖存器。

 

B、總線速度相對非復(fù)用總線系統(tǒng)低。

 

 

7.6  兩類總線通信協(xié)議:同步方式、異步方式。

 

7.7  對總線仲裁問題的解決是以優(yōu)先級(優(yōu)先權(quán))的概念為基礎(chǔ)。

 

 

8、電平轉(zhuǎn)換電路

8.1  數(shù)字集成電路可以分為兩大類:雙極型集成電路(TTL)、金屬氧化物半導(dǎo)體(MOS)。

 

8.2  CMOS電路由于其靜態(tài)功耗極低,工作速度較高,抗干擾能力較強,被廣泛使用。

 

8.3  解決TTLCMOS電路接口困難的辦法是在TTL電路輸出端與電源之間接一上拉電阻R,上拉電阻R的取值由TTL的高電平輸出漏電流IOH來決定,不同系列的TTL應(yīng)選用不同的R值。

 

 

9、嵌入式系統(tǒng)中信息表示于運算基礎(chǔ)

9.1  進位計數(shù)制與轉(zhuǎn)換:這樣比較簡單,也應(yīng)該掌握怎么樣進行換算,有出題的可能。

 

9.2  計算機中數(shù)的表示:源碼、反碼與補碼。

正數(shù)的反碼與源碼相同,負數(shù)的反碼為該數(shù)的源碼除符號位外按位取反。

正數(shù)的補碼與源碼相同,負數(shù)的補碼為該數(shù)的反碼加一。

例如-98的源碼:11100010B

反碼:10011101B

補碼:10011110B

 

9.3  定點表示法:數(shù)的小數(shù)點的位置人為約定固定不變。

浮點表示法:數(shù)的小數(shù)點位置是浮動的,它由尾數(shù)部分和階數(shù)部分組成。

任意一個二進制N總可以寫成:N=2P×S。S為尾數(shù),P為階數(shù)。

 

9.4  漢字表示法:搞清楚GB2318-80中國標碼和機內(nèi)碼的變換。

 

9.5  語音編碼中波形量化參數(shù)(可能會出簡單的計算題目)

采樣頻率:一秒內(nèi)采樣的次數(shù),反映了采樣點之間的間隔大小。

人耳的聽覺上限是20kHz,因此40kHz以上的采樣頻率足以使人滿意。

CD唱片采用的采樣頻率是44.1kHz。

測量精度:樣本的量化等級,目前標準采樣量級有8位和16位兩種。

 

聲道數(shù):單聲道和立體聲雙道。立體聲需要兩倍的存儲空間。

 

 

10、差錯控制編碼

10.1  根據(jù)碼組的功能,可以分為檢錯碼和糾錯碼兩類。檢錯碼是指能自動發(fā)現(xiàn)差錯的碼,例如奇偶檢驗碼;

糾錯碼是指不僅能發(fā)現(xiàn)差錯而且能自動糾正差錯的碼,例如循環(huán)冗余校驗碼。

 

10.2  奇偶檢驗碼、海明碼、循環(huán)冗余校驗碼(CRC)。

 

 

11、嵌入式系統(tǒng)的度量項目

11.1  性能指標:分為部件性能指標和綜合性能指標,主要包括:吞吐率、實時性和各種利用率。

 

11.2  可靠性與安全性:可靠性是嵌入式系統(tǒng)最重要、最突出的基本要求,是一個嵌入式系統(tǒng)能正常工作的保證,一般用平均故障間隔時間MTBF來度量。

 

11.3  可維護性:一般用平均修復(fù)時間MTTR表示。

 

可用性、功耗、環(huán)境適應(yīng)性、通用性、安全性、保密性、可擴展性

性價比中的價格,除了直接購買嵌入式系統(tǒng)的價格外,還應(yīng)包含安裝費用、若干年的運行維修費用和軟件租用費。

 

 

 

12、嵌入式系統(tǒng)的評價方法:測量法和模型法

12.1  測量法是最直接最基本的方法,需要解決兩個問題:

A、根據(jù)研究的目的,確定要測量的系統(tǒng)參數(shù)。

 

B、選擇測量的工具和方式。

 

12.2  測量的方式有兩種:采樣方式和事件跟蹤方式。

 

12.3  模型法分為分析模型法和模擬模型法。分析模型法是用一些數(shù)學方程去刻畫系統(tǒng)的模型,而模擬模型法是用模擬程序的運行去動態(tài)表達嵌入式系統(tǒng)的狀態(tài),而進行系統(tǒng)統(tǒng)計分析,得出性能指標。

 

12.4  分析模型法中使用最多的是排隊模型,它包括三個部分:輸入流、排隊規(guī)則和服務(wù)機構(gòu)。

 

12.5  使用模型對系統(tǒng)進行評價需要解決3個問題:設(shè)計模型、解模型、校準和證實模型。

 

 

 

 

1、Flash存儲器

1.1.Flash存儲器是一種非易失性存儲器,根據(jù)結(jié)構(gòu)的不同可以將其分為NOR FlashNAND Flash兩種。

 

 

1.2.Flash存儲器的特點

A、區(qū)塊結(jié)構(gòu):在物理上分成若干個區(qū)塊,區(qū)塊之間相互獨立。

 

 

 

B、先擦后寫:Flash的寫操作只能將數(shù)據(jù)位從1寫成0,不能從0寫成1,所以在對存儲器進行寫入之前必須先執(zhí)行擦除操作,將預(yù)寫入的數(shù)據(jù)位初始化為1。擦除操作的最小單位是一個區(qū)塊,而不是單個字節(jié)。

 

 

 

C、操作指令:執(zhí)行寫操作,它必須輸入一串特殊指令(NOR Flash)或者完成一段時序(NAND Flash)才能將數(shù)據(jù)寫入。

 

 

 

D、位反轉(zhuǎn):由于Flash的固有特性,在讀寫過程中偶爾會產(chǎn)生一位或幾位的數(shù)據(jù)錯誤。位反轉(zhuǎn)無法避免,只能通過其他手段對結(jié)果進行事后處理。

 

 

 

E、壞塊:區(qū)塊一旦損壞,將無法進行修復(fù)。對已損壞的區(qū)塊操作其結(jié)果不可預(yù)測。

 

 

1.3.NOR Flash的特點

應(yīng)用程序可以直接在閃存內(nèi)運行,不需要再把代碼讀到系統(tǒng)RAM中運行。NOR Flash的傳輸效率很高,在1MB~4MB的小容量時具有很高的成本效益,但是很低的寫入和擦除速度大大影響了它的性能。

 

 

1.4.NAND Flash的特點

能夠提高極高的密度單元,可以達到高存儲密度,并且寫入和擦除的速度也很快,這也是為何所有的U盤都使用NAND Flash作為存儲介質(zhì)的原因。應(yīng)用NAND Flash的困難在于閃存需要特殊的系統(tǒng)接口。

 

 

1.5.NOR FlashNAND Flash的區(qū)別

A、NOR Flash的讀取速度比NAND Flash稍快一些。

 

 

B、NAND Flash的擦除和寫入速度比NOR Flash快很多

 

 

C、NAND Flash的隨機讀取能力差,適合大量數(shù)據(jù)的連續(xù)讀取。

 

 

D、NOR Flash帶有SRAM接口,有足夠的地址引進來尋址,可以很容易地存取其內(nèi)部的每一個字節(jié)。NAND Flash的地址、數(shù)據(jù)和命令共用8位總線(有讀寫公司的產(chǎn)品使用16位),每次讀寫都要使用復(fù)雜的I/O接口串行地存取數(shù)據(jù)。

 

ENOR Flash的容量一般較小,通常在1MB~8MB之間;NAND Flash只用在8MB以上的產(chǎn)品中。因此,NOR Flash只要應(yīng)用在代碼存儲介質(zhì)中,NAND Flash適用于資料存儲。

 

 

 

F、NAND Flash中每個塊的最大擦寫次數(shù)是一百萬次,而NOR Flash是十萬次。

 

 

 

G、NOR Flash可以像其他內(nèi)存那樣連接,非常直接地使用,并可以在上面直接運行代碼;NAND Flash需要特殊的I/O接口,在使用的時候,必須先寫入驅(qū)動程序,才能繼續(xù)執(zhí)行其他操作。因為設(shè)計師絕不能向壞塊寫入,這就意味著在NAND Flash上自始至終必須進行虛擬映像。

 

H、NOR Flash用于對數(shù)據(jù)可靠性要求較高的代碼存儲、通信產(chǎn)品、網(wǎng)絡(luò)處理等領(lǐng)域,被成為代碼閃存;NAND Flash則用于對存儲容量要求較高的MP3、存儲卡、U盤等領(lǐng)域,被成為數(shù)據(jù)閃存。

 

 

 

2、RAM儲存器

2.1.SRAM的特點

 

SRAM表示靜態(tài)隨機存取存儲器,只要供電它就會保持一個值,它沒有刷新周期,由觸發(fā)器構(gòu)成基本單元,集成度低,每個SRAM存儲單元由6個晶體管組成,因此其成本較高。它具有較高速率,常用于高速緩沖存儲器。

通常SRAM4種引腳:

CE:片選信號,低電平有效。

 

R/W:讀寫控制信號。

 

ADDRESS:一組地址線。

 

DATA:用于數(shù)據(jù)傳輸?shù)囊唤M雙向信號線。

 

 

2.2.DRAM的特點

DRAM表示動態(tài)隨機存取存儲器。這是一種以電荷形式進行存儲的半導(dǎo)體存儲器。它的每個存儲單元由一個晶體管和一個電容器組成,數(shù)據(jù)存儲在電容器中。電容器會由于漏電而導(dǎo)致電荷丟失,因而DRAM器件是不穩(wěn)定的。它必須有規(guī)律地進行刷新,從而將數(shù)據(jù)保存在存儲器中。

DRAM的接口比較復(fù)雜,通常有以下引腳:

CE:片選信號,低電平有效。

 

R/W:讀寫控制信號。

 

RAS:行地址選通信號,通常接地址的高位部分。

 

CAS:列地址選通信號,通常接地址的低位部分。

 

ADDRESS:一組地址線。

 

DATA:用于數(shù)據(jù)傳輸?shù)囊唤M雙向信號線。

 

 

2.3.SDRAM的特點

SDRAM表示同步動態(tài)隨機存取存儲器。同步是指內(nèi)存工作需要同步時鐘,內(nèi)部的命令發(fā)送與數(shù)據(jù)的傳輸都以它為基準;動態(tài)是指存儲器陣列需要不斷的刷新來保證數(shù)據(jù)不丟失。它通常只能工作在133MHz的主頻。

 

2.4.DDRAM的特點

DDRAM表示雙倍速率同步動態(tài)隨機存取存儲器,也稱DDR。DDRAM是基于SDRAM技術(shù)的,SDRAM在一個時鐘周期內(nèi)只傳輸一次數(shù)據(jù),它是在時鐘的上升期進行數(shù)據(jù)傳輸;而DDR內(nèi)存則是一個時鐘周期內(nèi)傳輸兩次次數(shù)據(jù),它能夠在時鐘的上升期和下降期各傳輸一次數(shù)據(jù)。在133MHz的主頻下,DDR內(nèi)存帶寬可以達到133×64b/8×22.1GB/s。

 

3、硬盤、光盤、CF卡、SD

 

4、GPIO原理與結(jié)構(gòu)

GPIOI/O的最基本形式,它是一組輸入引腳或輸出引腳。有些GPIO引腳能夠加以編程改變工作方向,通常有兩個控制寄存器:數(shù)據(jù)寄存器和數(shù)據(jù)方向寄存器。

 

數(shù)據(jù)方向寄存器設(shè)置端口的方向。如果將引腳設(shè)置為輸出,那么數(shù)據(jù)寄存器將控制著該引腳狀態(tài)。若將引腳設(shè)置為輸入,則此輸入引腳的狀態(tài)由引腳上的邏輯電路層來實現(xiàn)對它的控制。

 

 

5、A/D接口

5.1.A/D轉(zhuǎn)換器是把電模擬量轉(zhuǎn)換為數(shù)字量的電路。實現(xiàn)A/D轉(zhuǎn)換的方法有很多,常用的方法有計數(shù)法、雙積分法和逐次逼進法。

 

5.2.計數(shù)式A/D轉(zhuǎn)換法

其電路主要部件包括:比較器、計數(shù)器、D/A轉(zhuǎn)換器和標準電壓源。

其工作原理簡單來說就是,有一個計數(shù)器,從0開始進行加1計數(shù),每進行一次加1,該數(shù)值作為D/A轉(zhuǎn)換器的輸入,其產(chǎn)生一個比較電壓VO與輸入模擬電壓VIN進行比較。如果VO小于VIN則繼續(xù)進行加1計數(shù),直到VO大于VIN,這時計數(shù)器的累加數(shù)值就是A/D轉(zhuǎn)換器的輸出值。

 

 

 

這種轉(zhuǎn)換方式的特點是簡單,但是速度比較慢,特別是模擬電壓較高時,轉(zhuǎn)換速度更慢。例如對于一個8A/D轉(zhuǎn)換器,若輸入模擬量為最大值,計數(shù)器要從0開始計數(shù)到255,做255D/A轉(zhuǎn)換和電壓比較的工作,才能完成轉(zhuǎn)換。

 

 

5.3.雙積分式A/D轉(zhuǎn)換法

其電路主要部件包括:積分器、比較器、計數(shù)器和標準電壓源。

其工作原理是,首先電路對輸入待測電壓進行固定時間的積分,然后換為標準電壓進行固定斜率的反向積分,反向積分進行到一定時間,便返回起始值。由于使用固定斜率,對標準電壓進行反向積分的時間正比于輸入模擬電壓值,輸入模擬電壓越大,反向積分回到起始值的時間越長。只要用標準的高頻時鐘脈沖測定反向積分花費的時間,就可以得到相應(yīng)于輸入模擬電壓的數(shù)字量,也就完成了A/D轉(zhuǎn)換。

 

 

其特點是,具有很強的抗工頻干擾能力,轉(zhuǎn)換精度高,但轉(zhuǎn)換速度慢,通常轉(zhuǎn)換頻率小于10Hz,主要用于數(shù)字式測試儀表、溫度測量等方面。

 

 

5.4.逐次逼近式A/D轉(zhuǎn)換法

其電路主要部件包括:比較器、D/A轉(zhuǎn)換器、逐次逼近寄存器和基準電壓源。

其工作原理是,實質(zhì)上就是對分搜索法,和平時天平的使用原理一樣。在進行A/D轉(zhuǎn)換時,由D/A轉(zhuǎn)換器從高位到低位逐位增加轉(zhuǎn)換位數(shù),產(chǎn)生不同的輸出電壓,把輸入電壓與輸出電壓進行比較而實現(xiàn)。首先使最高位為1,這相當于取出基準電壓的1/2與輸入電壓比較,如果在輸入電壓小于1/2的基準電壓,則最高位置0,反之置1。之后,次高位置1,相當于在1/2的范圍中再作對分搜索,以此類推,逐次逼近。

 

 

其特點是,速度快,轉(zhuǎn)換精度高,對NA/D轉(zhuǎn)換器只需要M個時鐘脈沖即可完成,一般可用于測量幾十到幾百微秒的過渡過程的變化,是目前應(yīng)用最普遍的轉(zhuǎn)換方法。

 

5.5.A/D轉(zhuǎn)換的重要指標(有可能考一些簡單的計算)

A、分辨率:反映A/D轉(zhuǎn)換器對輸入微小變化響應(yīng)的能力,通常用數(shù)字輸出最低位(LSB)所對應(yīng)的模擬電壓的電平值表示。nA/D轉(zhuǎn)換器能反映1/2n滿量程的模擬輸入電平。

 

 

B、量程:所能轉(zhuǎn)換的模擬輸入電壓范圍,分為單極性和雙極性兩種類型。

 

 

C、轉(zhuǎn)換時間:完成一次A/D轉(zhuǎn)換所需要的時間,其倒數(shù)為轉(zhuǎn)換速率。

 

 

D、精度:精度與分辨率是兩個不同的概念,即使分辨率很高,也可能由于溫漂、線性度等原因使其精度不夠高。精度有絕對精度和相對精度兩種表示方法。通常用數(shù)字量的最低有效位LSB的分數(shù)值來表示絕對精度,用其模擬電壓滿量程的百分比來表示相對精度。

 

例如,滿量程10V,10A/D芯片,若其絕對精度為±1/2LSB,則其最小有效位LSB的量化單位為:10/10249.77mv,其絕對精度為9.77mv/24.88mv,相對精度為:0.048%。

 

 

6、D/A接口基本

6.1.D/A轉(zhuǎn)換器是將數(shù)字量轉(zhuǎn)換為模擬量。

 

6.2.在集成電路中,通常采用T型網(wǎng)絡(luò)實現(xiàn)將數(shù)字量轉(zhuǎn)換為模擬電流,再由運算放大器將模擬電路轉(zhuǎn)換為模擬電壓。進行D/A轉(zhuǎn)換實際上需要上面的兩個環(huán)節(jié)。

 

6.3.D/A轉(zhuǎn)換器的分類

A、電壓輸出型:常作為高速D/A轉(zhuǎn)換器。

 

B、電流輸出型:一般外接運算放大器使用。

 

C、乘算型:可用作調(diào)制器和使輸入信號數(shù)字化地衰減。

 

 

6.4.D/A轉(zhuǎn)換器的主要指標:分辨率、建立時間、線性度、轉(zhuǎn)換精度、溫度系數(shù)。

 

 

7、鍵盤接口

7.1.鍵盤的兩種形式:線性鍵盤和矩陣鍵盤。

 

7.2.識別鍵盤上的閉合鍵通常有兩種方法:行掃描法和行反轉(zhuǎn)法。

 

7.3.行掃描法是矩陣鍵盤按鍵常用的識別方法,此方法分為兩步進行:

A、識別鍵盤哪一列的鍵被按下:讓所有行線均為低電平,查詢各列線電平是否為低,如果有列線為低,則說明該列有按鍵被按下,否則說明無按鍵按下。

 

 

 

B、如果某列有按鍵按下,識別鍵盤是哪一行按下:逐行置低電平,并置其余各行為高電平,查詢各列的變化,如果列電平變?yōu)榈碗娖,則可確定此行此列交叉點處按鍵被按下。

 

 

 

8、顯示接口

8.1.LCD的基本原理是,通過給不同的液晶單元供電,控制其光線的通過與否,從而達到顯示的目的。

 

8.2.LCD的光源提供方式有兩種:投射式和反射式。筆記本電腦的LCD顯示器為投射式,屏的背后有一個光源,因此外界環(huán)境可以不需要光源。一般微控制器上使用的LCD為反射式,需要外界提供電源,靠反射光來工作。電致發(fā)光(EL)是液晶屏提供光源的一種方式。

 

8.3.按照液晶驅(qū)動方式分類,常見的LCD可以分為三類:扭轉(zhuǎn)向列類(TN)、超扭曲向列型(STN)和薄膜晶體管型(TFT)。

 

8.4.市面上出售的LCD有兩種類型:帶有驅(qū)動電路的LCD顯示模塊,只要總線方式驅(qū)動;沒有驅(qū)動電路的LCD顯示器,使用控制器掃描方式。

 

8.5.通常,LCD控制器工作的時候,通過DMA請求總線,直接通過SDRAM控制器讀取SDRAM中指定地址(顯示緩沖區(qū))的數(shù)據(jù),此數(shù)據(jù)經(jīng)過LCD控制器轉(zhuǎn)換成液晶屏掃描數(shù)據(jù)格式,直接驅(qū)動液晶顯示器。

 

8.6.VGA接口本質(zhì)上是一個模擬接口,一般都采用統(tǒng)一的15引腳接口,包括2NC信號、3根顯示器數(shù)據(jù)總線、5GND信號、3RGB色彩分量、1個行同步信號和1個場同步信號。其色彩分量采用的電平標準為EIA定義的RS343標準。

 

 

9、觸摸屏接口

9.1.按工作原理分,觸摸屏可以分為:表面聲波屏、電容屏、電阻屏和紅外屏幾種。

 

9.2.觸摸屏的控制采用專業(yè)芯片,例如ADS7843。

 

 

10、音頻接口

10.1.基本原理:麥克風輸入的數(shù)據(jù)經(jīng)音頻編解碼器解碼完成A/D轉(zhuǎn)換,解碼后的音頻數(shù)據(jù)通過音頻控制器送入DSPCPU進行相應(yīng)的處理,然后數(shù)據(jù)經(jīng)音頻控制器發(fā)送給音頻編碼器,經(jīng)編碼D/A轉(zhuǎn)換后由揚聲器輸出。

 

10.2.數(shù)字音頻的格式有多種,最常用的是下面三種:

A、采用數(shù)字音頻(PCM):是CDDVD采用的數(shù)據(jù)格式。其采樣頻率為44.1kHz。精度為16位時,PCM音頻數(shù)據(jù)速率為1.41Mb/s;精度為32位時為2.42 Mb/s。一張700MBCD可以保存大約60分鐘的16PCM數(shù)據(jù)格式的音樂。

 

 

 

B、MPEG-3音頻層(MP3):MP3播放器采用的音頻格式。立體聲MP3數(shù)據(jù)速率為112kb/s128kb/s。

 

 

 

C、ATSC數(shù)字音頻壓縮標準(AC3):數(shù)字TV、HDTV和電影數(shù)字音頻編碼標準,立體聲AC3編碼后的數(shù)據(jù)速率為192kb/s。

 

 

10.3.IIS是音頻數(shù)據(jù)的編碼或解碼常用的串行音頻數(shù)字接口。IIS總線只處理聲音數(shù)據(jù),其他控制信號等則需要單獨傳輸。IIS使用了3根串行總線:數(shù)據(jù)線SD、字段選擇線WS、時鐘信號線SCK。

 

10.4.當接收方和發(fā)送方的數(shù)據(jù)字段寬度不一樣時,發(fā)送方不考慮接收方的數(shù)據(jù)字段寬度。如果發(fā)送方發(fā)送的數(shù)據(jù)字段小于系統(tǒng)字段寬度,就在低位補0;如果發(fā)送方的數(shù)據(jù)寬度大于接收方的寬度,則超過LSB的部分被截斷。

 

字段選擇WS用來選擇左右聲道,WS=0表示選擇左聲道;WS=1表示選擇右聲道。此外,WS能讓接收設(shè)備存儲前一個字節(jié),并準備接收下一個字節(jié)。

 

 

11、串行接口

11.1.串行通信是指,使數(shù)據(jù)一位一位地進行傳輸而實現(xiàn)的通信。與并行通信相比,串行通信具有傳輸線少、成本低等優(yōu)點,特別適合遠距離傳送;缺點是速度慢。

 

11.2.串行數(shù)據(jù)傳送有3種基本的通信模式:單工、半雙工、全雙工。

 

11.3.串行通信在信息格式上可以分為2種方式:同步通信和異步通信。

A、異步傳輸:把每個字符當作獨立的信息來傳輸,并按照一固定且預(yù)定的時序傳送,但在字符之間卻取決于字符與字符的任意時序。異步通信時,字符是一幀一幀傳送的,每幀字符的傳送靠起始位來同步。一幀數(shù)據(jù)的各個代碼間間隔是固定的,而相鄰兩幀數(shù)據(jù)其時間間隔是不固定的。

 

 

B、同步傳輸:同步方式不僅在字符之間是同步的,而且在字符與字符之間的時序仍然是同步的,即同步方式是將許多字符******成一字符塊后,在每塊信息之前要加上12個同步字符,字符塊之后再加入適當?shù)腻e誤檢測數(shù)據(jù)才傳送出去。

 

 

11.4.異步通信必須遵循3項規(guī)定

A、字符格式:起始位+數(shù)據(jù)+校驗位+停止位(檢驗位可無),低位先傳送。

 

 

B、波特率:每秒傳送的位數(shù)。

 

 

C、校驗位:奇偶檢驗。

 

a、奇校驗:要使字符加上校驗位有奇數(shù)個“1”。

 

b、偶檢驗:要使字符加上校驗位有偶數(shù)個“1”。

 

 

11.5.RS232C的電氣特性:負邏輯

A、在TxDRxD上:邏輯1為-3V~-15V,邏輯03V15V。

 

 

B、在TES、CTS、DTR、DCD等控制線上:

 

信號有效(ON狀態(tài))為3V15V

 

信號無效(OFF狀態(tài))為-3V~-15V

 

 

11.6.TTL標準與RS-232C標準之間的電平轉(zhuǎn)換利用集成芯片RS232實現(xiàn)

 

11.7.RS-422串行通信接口

A、RS-422是一種單機發(fā)送、多機接收的單向、平衡傳輸規(guī)范,傳輸速率可達10Mb/s。

 

 

 

B、RS-422采用差分傳輸方式,也稱做平衡傳輸,使用一對雙絞線。

 

 

 

C、RS-422需要一終端電阻,要求其阻值約等于傳輸電纜的特性阻抗。

 

 

11.8.RS-485串行總線接口

A、RS-485是在RS-422的基礎(chǔ)上建立的標準,增加了多點、雙向通信能力,通信距離可為幾十米到上千米。

 

 

 

B、RS-485收發(fā)器采用平衡發(fā)送和差分接收,具有抑制共模干擾的能力。

 

 

 

C、RS-485需要兩個終端電阻。在近距離(300m以下)傳輸可不需要終端電阻。

 

 

 

12、并行接口

12.1.并行接口的數(shù)據(jù)傳輸率比串行接口快8倍,標準并行接口的數(shù)據(jù)傳輸率為1Mb/s,一般用來連接打印機、掃描儀等,所以又稱打印口。

 

12.2.并行接口可以分為SPP(標準并口)、EPP(增強型并口)和ECP(擴展型并口)。

 

12.3.并行總線分為標準和非標準兩類。常用的并行標準總線有IEEE 488總線和ANSI SCSI總線。MXI總線是一種高性能非標準的通用多用戶并行總線。

 

 

13、PCI接口

13.1.PCI總線是地址、數(shù)據(jù)多路復(fù)用的高性能32位和64位總線,是微處理器與外圍控制部件、外圍附加板之間的互連機構(gòu)。

 

13.2.從數(shù)據(jù)寬度上看,PCI定義了32位數(shù)據(jù)總線,且可擴展為64位。從總線速度上分,有33MHz66MHz兩種。

 

13.3.ISA總線相比,PCI總線的地址總線與數(shù)據(jù)總線分時復(fù)用,支持即插即用、中斷共享等功能。

 

 

14、USB接口

14.1.USB總線的主要特點

A、使用簡單,即插即用。

 

 

 

B、每個USB系統(tǒng)中都有主機,這個USB網(wǎng)絡(luò)中最多可以連接127個設(shè)備。

 

 

 

C、應(yīng)用范圍廣,支持多個設(shè)備同時操作。

 

 

 

D、低成本的電纜和連接器,使用統(tǒng)一的4引腳插頭。

 

 

 

E、較強的糾錯能力。

 

 

 

F、較低的協(xié)議開銷帶來了高的總線性能,且適合于低成本外設(shè)的開發(fā)。

 

 

 

G、支持主機與設(shè)備之間的多數(shù)據(jù)流和多消息流傳輸,且支持同步和異步傳輸類型。

 

 

 

H、總線供電,能為設(shè)備提供5V/100mA的供電。

 

14.2.USB系統(tǒng)由3部分來描述:USB主機、USB設(shè)備和USB互連。

 

14.3.USB總線支持的數(shù)據(jù)傳輸率有3種:高速信令位傳輸率為480Mb/s;全速信令位傳輸率為12Mb/s;全速信令位傳輸率為1.5Mb/s。

 

14.4.USB總線電纜有4根線:一對雙絞信號線和一對電源線。

 

14.5.USB是一種查詢總線,由主控制器啟動所有的數(shù)據(jù)傳輸。USB上所掛接的外設(shè)通過由主機調(diào)度的、基于令牌的協(xié)議來共享USB帶寬。

 

14.6.大部分總線事務(wù)涉及3個包的傳輸

A、令牌包:指示總線上要執(zhí)行什么事務(wù),欲尋址的USB設(shè)備及數(shù)據(jù)傳送方向。

 

 

B、數(shù)據(jù)包:傳輸數(shù)據(jù)或指示它沒有數(shù)據(jù)要傳輸。

 

 

 

C、握手包:指示傳輸是否成功。

 

 

14.7.主機與設(shè)備端點之間的USB數(shù)據(jù)傳輸模型被稱作管道。管道有兩種類型:流和消息。消息數(shù)據(jù)具有USB定義的結(jié)構(gòu),而數(shù)據(jù)流沒有。

 

14.8.事務(wù)調(diào)度表允許對某些流管道進行流量控制,在硬件級,通過使用NAK(否認)握手信號來調(diào)節(jié)數(shù)據(jù)傳輸率,以防止緩沖區(qū)上溢或下溢產(chǎn)生。

 

14.9.USB設(shè)備最大的特點是即插即用。

 

14.10.工作原理:USB設(shè)備插入USB端點時,主機都通過默認地址0與設(shè)備的端點0進行通信。在這個過程中,主機發(fā)出一系列試圖得到描述符的標準請求,通過這些請求,主機得到所有感興趣的設(shè)備信息,從而知道了設(shè)備的情況以及該如何與設(shè)備通信。隨后主機通過發(fā)出Set Address請求為設(shè)備設(shè)置一個唯一的地址。以后主機就通過為設(shè)備設(shè)置好的地址與設(shè)備通信,而不再使用默認地址0

 

 

15、SPI接口

15.1.SPI是一個同步協(xié)議接口,所有的傳輸都參照一個共同的時鐘,這個同步時鐘有主機產(chǎn)生,接收數(shù)據(jù)的外設(shè)使用時鐘來對串行比特流的接收進行同步化。

 

15.2.在多個設(shè)備連接到主機的同一個SPI接口時,主機通過從設(shè)備的片選引腳來選擇。

 

15.3.SPI主要使用4個信號:主機輸出/從機輸入(MOSI),主機輸入/從機輸出(MISO)、串行時鐘SCLK和外設(shè)片選CS。

 

15.4.主機和外設(shè)都包含一個串行移位寄存器,主機通過向它的SPI串行寄存器寫入一個字節(jié)來發(fā)起一次數(shù)據(jù)傳輸。寄存器通過MOSI信號線將字節(jié)傳送給外設(shè),外設(shè)也將自己移位寄存器中的內(nèi)容通過MISO信號線返回給主機,這樣,兩個移位寄存器中的內(nèi)容就被交換了。

 

15.5.外設(shè)的寫操作和讀操作是同步完成的,因此SPI成為一個很有效的協(xié)議。

 

15.6.如果只是進行寫操作,主機只需忽略收到的字節(jié);反過來,如果主機要讀取外設(shè)的一個字節(jié),就必須發(fā)送一個空字節(jié)來引發(fā)從機的傳輸。

 

 

16、IIC接口

16.1.IIC總線是具備總線仲裁和高低速設(shè)備同步等功能的高性能多主機總線。

 

16.2.IIC總線上需要兩條線:串行數(shù)據(jù)線SDA和串行時鐘線SCL。

 

16.3.總線上的每個器件都有唯一的地址以供識別,而且各器件都可以作為一個發(fā)送器或者接收器(由器件的功能決定)。

 

16.4.IIC總線有4種操作模式:主發(fā)送、主接收、從發(fā)送、從接收。

 

16.5.IIC在傳送數(shù)據(jù)過程******3種類型信號

A、開始信號:SCL為低電平時,SDA由高向低跳變。

 

 

 

B、結(jié)束信號:SCL為低電平時,SDA由低向高跳變。

 

 

C、應(yīng)答信號:接收方在收到8位數(shù)據(jù)后,在第9個脈沖向發(fā)送方發(fā)出特點的低電平。

 

 

16.6.主器件發(fā)送一個開始信號后,它還會立即送出一個從地址,來通知將與它進行數(shù)據(jù)通信的從器件。1個字節(jié)的地址包括7位地址信息和1位傳輸方向指示位,如果第7位為0,表示要進行一個寫操作,如果為1,表示要進行一個讀操作。

 

16.7.SDA線上傳輸?shù)拿總字節(jié)長度都是8位,每次傳輸中字節(jié)的數(shù)量沒有限制的。在開始信號后面的第一個字節(jié)是地址域,之后每個傳輸字節(jié)后面都有一個應(yīng)答位(ACK),傳輸中串行數(shù)據(jù)的MSB(字節(jié)高位)首先發(fā)送。

 

16.8.如果數(shù)據(jù)接收方無法再接收更多的數(shù)據(jù),它可以通過將SCL保持低電平來中斷傳輸,這樣可以迫使數(shù)據(jù)發(fā)送方等待,直到SCL被重新釋放。這樣可以達到高低速設(shè)備同步。

 

16.9.IIC總線的工作過程:SDASCL都是雙向的?臻e的時候,SDASCL都是高電平,只有SDA變?yōu)榈碗娖,接?/font>SCL再變?yōu)榈碗娖剑?/font>IIC總線的數(shù)據(jù)傳輸才開始。SDA線上被傳輸?shù)拿恳晃辉?/font>SCL的上升沿被采樣,該位必須一直保持有效到SCL再次變?yōu)榈碗娖,然?/font>SDA就在SCL再次變?yōu)楦唠娖街皞鬏斚乱粋位。最后,SCL變回高電平,接著SDA也變?yōu)楦唠娖,表示?shù)據(jù)傳輸結(jié)束。


路過

雞蛋

鮮花

握手

雷人

評論 (0 個評論)

facelist

您需要登錄后才可以評論 登錄 | 立即注冊