電子產(chǎn)業(yè)一站式賦能平臺

PCB聯(lián)盟網(wǎng)

搜索
查看: 4245|回復(fù): 3
收起左側(cè)

FPGA程序加載與固化

[復(fù)制鏈接]

678

主題

902

帖子

8293

積分

高級會員

Rank: 5Rank: 5

積分
8293
跳轉(zhuǎn)到指定樓層
樓主
發(fā)表于 2020-9-25 09:46:32 | 只看該作者 回帖獎(jiǎng)勵(lì) |倒序?yàn)g覽 |閱讀模式
前言
操作環(huán)境:
  • Windows 7 64bit
  • ISE 14.7
FPGA程序加載與固化
將開發(fā)板通過Xilinx FPGA JTAG下載器連接到PC機(jī),打開Windows的設(shè)備管理器查看下載器是否已正常連接:

圖 1

開發(fā)板上電,在Windows下點(diǎn)擊“開始菜單 -> Xilinx Design Tools -> ISE Design Suite 14.7 -> ISE Design Tools -> 64-bit Tools -> iMPACT”,在彈出的界面中選擇No選項(xiàng),如下圖:

圖 2

進(jìn)入到ISE iMPACT界面,在彈出的對話框中選中“Configure devices using Boundary-Scan(JTAG)”選項(xiàng),并點(diǎn)擊OK,如下圖所示:

圖 3

在下載器已連接的情況下,iMPACT會識別到FPGA芯片,并打印“Identify Succeeded”信息。在彈出的界面中,點(diǎn)擊OK,如下圖所示:

圖 4

雙擊FPGA芯片圖標(biāo),在彈出的對話框中選擇需要加載的.bit文件,例如光盤“Demo\app\LED\bin”路徑下的led.bit文件,然后點(diǎn)擊打開,選定需要下載的.bit文件,如下圖所示:

圖 5

在彈出的界面中,選擇YES選項(xiàng),如下圖所示:

圖 6

在彈出的界面中選擇對應(yīng)的.mcs文件,例如光盤資料“Demo\app\LED\bin”路徑下的LED.mcs文件,然后點(diǎn)擊打開,如下圖所示:

圖 7

在彈出的界面中,選擇”SPI PROM”和W25Q64BV/CV,”Data Width”為1,然后點(diǎn)擊OK。

圖 8

點(diǎn)擊FLASH圖標(biāo)(點(diǎn)擊后圖標(biāo)會變綠),然后雙擊左邊的Erase選項(xiàng),在彈出的界面中點(diǎn)擊OK,擦除FLASH中原有的程序,擦除成功后,界面會有提示信息,如下圖所示:

圖 9


圖 10

右擊FPGA芯片,在彈出的界面中選擇Program選項(xiàng),然后在彈出的界面中點(diǎn)擊OK,開始加載.bit文件到FPGA。加載成功后,界面會有提示信息。此時(shí)發(fā)現(xiàn)核心板和底板的LED顯示跑馬燈狀態(tài),說明成功在線運(yùn)行程序。

圖 11


圖 12

再右擊FLASH,在彈出的界面中選擇Program選項(xiàng),開始固化.mcs文件到FLASH。

圖 13

等待固化進(jìn)度條讀滿,iMPACT界面會提示“Program Succeeded”信息。斷開下載器,開發(fā)板重新上電,即可看到程序脫機(jī)運(yùn)行效果。

圖 14

如果對應(yīng)版本開發(fā)板具有FPGA啟動撥碼開關(guān),請將FPGA啟動撥碼開關(guān)撥至Master SPI檔位,然后再重啟開發(fā)板。沒有FPGA啟動撥碼開關(guān)版本的開發(fā)板,啟動模式默認(rèn)為Master SPI。

嵌入式DSP、ARM、FPGA多核技術(shù)開發(fā),學(xué)習(xí)資料下載:http://site.tronlong.com/pfdownload

3

主題

2721

帖子

3052

積分

四級會員

Rank: 4

積分
3052
沙發(fā)
發(fā)表于 2021-6-4 14:22:46 | 只看該作者
11111111111111111111111111

3

主題

2721

帖子

3052

積分

四級會員

Rank: 4

積分
3052
板凳
發(fā)表于 2021-6-4 14:22:59 | 只看該作者
11111111111111111111111111

發(fā)表回復(fù)

您需要登錄后才可以回帖 登錄 | 立即注冊

本版積分規(guī)則


聯(lián)系客服 關(guān)注微信 下載APP 返回頂部 返回列表